PARALLEL DATA LAB

Enabling Non-Volatile Memory Technologies

Existing main memory systems are built using DRAM (dynamic random access memory) storage technology. While DRAM memories can be constructed with high bandwidth and low latency, it is facing significant challenges. First, the demand for main memory capacity and bandwidth is increasing, with the increasing number of cores placed on a single chip, data-intensive applications demanding more data, and the increasing need/trend for consolidation of many applications on a single system in cloud computing, heterogeneous CPU/GPU, and mobile systems. Yet, DRAM capacity is difficult to scale up as we go into the future. Second, power and energy consumption of DRAM-based main memory is becoming a significant concern: DRAM memory consumes power even when idle and needs periodic refresh of data cells as it is volatile. Third, the scaling of DRAM technology to smaller feature sizes is becoming increasingly difficult due to challenges in scaling down the storage element, the capacitor. Scaling of DRAM cells has provided many benefits, including increased storage capacity per unit area, reduced cost, and higher density, which are becoming challenging to obtain. As a result, DRAM alone will likely be inefficient and insufficient in building the main memory hierarchy of future systems. Our goal in this research is to rethink the main memory hierarchy in the presence of the challenges described above and explore the potential of new memory technologies to replace or augment DRAM.

Non-volatile memory/storage (NVM) technologies such as Flash, Phase Change Memory (PCM), and magnetic memory (MRAM) are promising due to their anticipated capacity benefits, non-volatility, and zero idle energy. This project examines the use of NVM technologies as part of main memory, accessed directly using load/store instructions in order to overcome the challenges associated with building a DRAM-only main memory. Unfortunately, these emerging memory technologies have serious shortcomings compared to DRAM, which need to be overcome: 1) they are significantly slower to access, 2) they have very low endurance, 3) they have very high write latency and write energy. Our goal is to redesign the memory hierarchy to overcome these challenges and exploit the new opportunities of NVM technologies. We are rethinking the entire virtual memory design and main memory system to integrate especially Flash and PCM as fundamental main memory components, with the goal of designing a significantly more energy-efficient, cheaper, scalable, high-capacity, and more capable memory/storage system using a hybrid of DRAM, PCM, and Flash memories.


People

FACULTY

Greg Ganger
Onur Mutlu

GRAD STUDENTS

Rachata Ausavarungnirun
Justin Meza
Vivek Seshadri
HanBin Yoon


Publications

  • Mosaic: A GPU Memory Manager with Application-Transparent Support for Multiple Page Sizes. Rachata Ausavarungnirun, Joshua Landgraf, Vance Miller, Saugata Ghose, Jayneel Gandhi, Christopher J. Rossbach & Onur Mutlu. Proc. of the International Symposium on Microarchitecture (MICRO), Cambridge, MA, October 2017.
    Abstact / PDF [1.32M]

  • Ambit: In-Memory Accelerator for Bulk Bitwise Operations Using Commodity DRAM Technology. Vivek Seshadri, Donghyuk Lee, Thomas Mullins, Hasan Hassan, Amirali Boroumand, Jeremie Kim, Michael A. Kozuch, Onur Mutlu, Phillip B. Gibbons & Todd C. Mowry. Proceedings of the 50th International Symposium on Microarchitecture (MICRO), Boston, MA, USA, October 2017.
    Abstact / PDF [2.5M]

  • Detecting and Mitigating Data-Dependent DRAM Failures by Exploiting Current Memory Content. Samira Khan, Chris Wilkerson, Zhe Wang, Alaa R. Alameldeen, Donghyuk Lee & Onur Mutlu. Proceedings of the 50th International Symposium on Microarchitecture (MICRO), Boston, MA, USA, October 2017.
    Abstact / PDF [1.5M]

  • Utility-Based Hybrid Memory Management. Yang Li, Saugata Ghose, Jongmoo Choi, Jin Sun, Hui Wang & Onur Mutlu. In Proc. of the IEEE Cluster Conference (CLUSTER), Honolulu, HI, September 2017.
    Abstact / PDF [588K]

  • Understanding Reduced-Voltage Operation in Modern DRAM Devices: Experimental Characterization, Analysis, and Mechanisms. Kevin K. Chang, A. Giray Yaglikçi, Saugata Ghose, Aditya Agrawal, Niladrish Chatterjee, Abhijith Kashyap, Donghyuk Lee, Mike O’Connor, Hasan Hassan & Onur Mutlu. Proceedings of the ACM on Measurement and Analysis of Computing Systems (POMACS), Vol. 1, No. 1, June 2017.
    Abstact / PDF [4M]

  • Design-Induced Latency Variation in Modern DRAM Chips: Characterization, Analysis, and Latency Reduction Mechanisms. Donghyuk Lee, Samira Khan, Lavanya Subramanian, Saugata Ghose, Rachata Ausavarungnirun, Gennady Pekhimenko, Vivek Seshadri & Onur Mutlu. Proceedings of the ACM on Measurement and Analysis of Computing Systems (POMACS), Vol. 1, No. 1, June 2017.
    Abstact / PDF [2.5M]

  • Improving the Reliability of Chip-off Forensic Analysis of NAND Flash Memory Devices. Aya Fukami, Saugata Ghose, Yixin Luo, Yu CaI, Onur Mutlu. DFRWS Digital Forensics Research Conference Europe (DFRWS EU), March 21 - 23, 2017 Lake Constance, Germany.
    Abstract / PDF [1.5M]

  • Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques. Yu Cai, Saugata Ghose, Yixin Luo, Ken Mai, Onur Mutlu, Erich F. Haratsch. 23rd IEEE Symposium on High Performance Computer Architecture, Industrial session, February 2017.
    Abstract / PDF [8.4M]

  • SoftMC: A Flexible and Practical Open-Source Infrastructure for Enabling Experimental DRAM Studies. Hasan Hassan,Nandita Vijaykumar, Samira Khan, Saugata Ghose, Kevin Chang, Gennady Pekhimenko, Donghyuk Lee, Oguz Ergin, Onur Mutlu. International Symposium on High-Performance Computer Architecture (HPCA), February 2017.
    Abstract / PDF [1.6M]

  • PARBOR: An Efficient System-Level Technique to Detect Data-Dependent Failures in DRAM. Samira Khan, Donghyuk Lee, Onur Mutlu. Proceedings of the 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), Toulouse, France, June 28 - July 1 2016.
    Abstract / PDF [630K]

  • Transparent Offloading and Mapping (TOM): Enabling Programmer-Transparent Near-Data Processing in GPU Systems. Kevin Hsieh, Eiman Ebrahimi, Gwangsun Kim, Niladrish Chatterjee, Mike O'Connor, Nandita Vijaykumar, Onur Mutlu§, Stephen W. Keckler. Proceedings of the 43rd International Symposium on Computer Architecture (ISCA), Seoul, South Korea, June 18 - 22, 2016.
    Abstract / PDF [1M]

  • A Case for Toggle-Aware Compression for GPU Systems. Gennady Pekhimenko, Evgeny Bolotin, Nandita Vijaykumar, Onur Mutlu, Todd C. Mowry, Stephen W. Keckler. Proceedings of the 22nd International Symposium on High-Performance Computer Architecture (HPCA), Barcelona, Spain, March 2016.
    Abstract / PDF [713K]

  • Low-Cost Inter-Linked Subarrays (LISA): Enabling Fast Inter-Subarray Data Movement in DRAM. Kevin K. Chang, Prashant J. Nair, Donghyuk Lee, Saugata Ghose, Moinuddin K. Qureshi, and Onur Mutlu. Proceedings of the 22nd International Symposium on High-Performance Computer Architecture (HPCA), Barcelona, Spain, March 2016.
    Abstract / PDF [768K]

  • Simultaneous Multi-Layer Access: Improving 3D-Stacked Memory Bandwidth at Low Cost. Donghyuk Lee, Saugata Ghose, Gennady Pekhimenko, Samira Khan, Onur Mutlu. ACM Transactions on Architecture and Code Optimization (TACO), Vol. 12, January 2016. Presented at the 11th HiPEAC Conference, Prague, Czech Republic, January 2016.
    Abstract / PDF [2M]

  • The Application Slowdown Model: Quantifying and Controlling the Impact of Inter-Application Interference at Shared Caches and Main Memory. Lavanya Subramanian, Vivek Seshadri, Arnab Ghosh, Samira Khan, Onur Mutlu. Proceedings of the 48th International Symposium on Microarchitecture (MICRO), Waikiki, Hawaii, USA, December 2015.
    Abstract / PDF [604K]

  • FIRM: Fair and High-Performance Memory Control for Persistent Memory Systems. Jishen Zhao, Onur Mutlu, Yuan Xie. Proceedings of the 47th International Symposium on Microarchitecture (MICRO), Cambridge, UK, December 2014.
    Abstract / PDF [626K]

  • Loose-Ordering Consistency for Persistent Memory. Youyou Lu, Jiwu Shu, Long Sun, Onur Mutlu. Proceedings of the 32nd IEEE International Conference on Computer Design (ICCD), Seoul, South Korea, October 2014.
    Abstract / PDF [389K]

  • The Blacklisting Memory Scheduler: Achieving High Performance and Fairness at Low Cost. Lavanya Subramanian, Donghyuk Lee, Vivek Seshadri, Harsha Rastogi, Onur Mutlu. Proceedings of the 32nd IEEE International Conference on Computer Design (ICCD), Seoul, South Korea, October 2014.
    Abstract / PDF [240K]

  • Characterizing Application Memory Error Vulnerability to Optimize Datacenter Cost via Heterogeneous- Reliability Memory. Yixin Luo, Sriram Govindan, Bikash Sharma, Mark Santaniello, Justin Meza, Aman Kansal, Jie Liu, Badriddine Khessib, Kushagra Vaid, Onur Mutlu Proceedings of the 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), Atlanta, GA, June 2014.
    Abstract / PDF [1.58]

  • The Efficacy of Error Mitigation Techniques for DRAM Retention Failures: A Comparative Experimental Study. Samira Khan, Donghyuk Lee, Yoongu Kim, Alaa Alameldeen, Chris Wilkerson, Onur Mutlu. Proceedings of the ACM International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS’14), June 2014.
    Abstract / PDF [8M]

  • Bounding Memory Interference Delay in COTS-based Multi-Core Systems. Hyoseung Kim, Dionisio de Niz, Björn Andersson, Mark Klein, Onur Mutlu, Ragunathan (Raj) Rajkumar. Proceedings of the 20th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), Berlin, Germany, April 2014.
    Abstract / PDF [2.5M]

  • Memory Systems. Yoongu Kim, Onur Mutlu. Invited Book Chapter in Computing Handbook, Third Edition: Computer Science and Software Engineering, CRC Press, April 2014.
    Abstract / PDF [453K]

  • Asymmetry-aware Execution Placement on Manycore Chips. Alexey Tumanov, Joshua Wise, Onur Mutlu, Gregory R. Ganger. In Proc. of the 3rd Workshop on Systems for Future Multicore Architectures (SFMA'13), EuroSys'13, Apr. 14-17, 2013, Prague, Czech Republic.
    Abstract / PDF [703K]

  • Application-to-Core Mapping Policies to Reduce Memory System Interference in Multi-Core Systems. Reetuparna Das, Rachata Ausavarungnirun, Onur Mutlu, Akhilesh Kumar, Mani Azimi. Proceedings of the 19th International Symposium on High-Performance Computer Architecture (HPCA 2013), Shenzhen, China, February 2013.
    Abstract / PDF [623K]

  • MISE: Providing Performance Predictability and Improving Fairness in Shared Main Memory Systems. Lavanya Subramanian, Vivek Seshadri, Yoongu Kim, Ben Jaiyen, Onur Mutlu. Proceedings of the 19th International Symposium on High-Performance Computer Architecture (HPCA 2013), Shenzhen, China, February 2013.
    Abstract / PDF [607K]

  • Tiered-Latency DRAM: A Low Latency and Low Cost DRAM Architecture. Donghyuk Lee, Yoongu Kim, Vivek Seshadri, Jamie Liu, Lavanya Subramanian, Onur Mutlu. Proceedings of the 19th International Symposium on High-Performance Computer Architecture (HPCA), Shenzhen China, February 2013.
    Abstract / PDF [3.17M]

  • Row Buffer Locality Aware Caching Policies for Hybrid Memories. HanBin Yoon, Justin Meza, Rachata Ausavarungnirun, Rachael A. Harding, Onur Mutlu. Proceedings of the 30th IEEE International Conference on Computer Design (ICCD 2012), Montreal, Quebec, Canada, September 2012. Best paper award in Computer Systems and Applications track.
    Abstract / PDF [577K]

  • A Case for Small Row Buffers in Non-Volatile Main Memories. Justin Meza, Jing Li, Onur Mutlu. Proceedings of the 30th IEEE International Conference on Computer Design (ICCD 2012), Poster Session, Montreal, Quebec, Canada, September 2012.
    Abstract / PDF [172K]

  • A Case for Exploiting Subarray-level Parallelism (SALP) in DRAM. Yoongu Kim, Vivek Seshadri, Donghyuk Lee, Jamie Liu, Onur Mutlu. Proceedings of the 39th International Symposium on Computer Architecture, June 2012.
    Abstract / PDF [927K]

  • RAIDR: Retention-Aware Intelligent DRAM Refresh. Jamie Liu, Ben Jaiyen, Richard Veras, Onur Mutlu. In Proceedings of the 39th International Symposium on Computer Architecture, Portland, Oregon, June 9-13th, 2012.
    Abstract / PDF [480K]

  • Staged Memory Scheduling: Achieving High Performance and Scalability in Heterogeneous Systems. Rachata Ausavarungnirun, Kevin Kai-Wei Chang, Lavanya Subramanian, Gabriel H. Loh, Onur Mutlu. The 39th International Symposium on Computer Architecture (ISCA), Portland, Oregon, June 9-13th, 2012.
    Abstract / PDF [700K]

  • Enabling Efficient and Scalable Hybrid Memories Using Fine-Granularity DRAM Cache Management. Justin Meza, Jichuan Chang, HanBin Yoon, Onur Mutlu, Parthasarathy Ranganathan. IEEE Computer Architecture Letters (CAL), May 2012.
    Abstract / PDF [184K]

  • Persistent, Protected and Cached: Building Blocks for Main Memory Data Stores. Iulian Moraru, David G. Andersen, Michael Kaminsky, Nathan Binkert, Niraj Tolia, Reinhard Munz,Parthasarathy Ranganathan. Carnegie Mellon University Parallel Data Lab Technical Report CMU-PDL-11-114v2, Nov. 2012. Supersedes CMU-PDL-11-114. Dec. 2011.
    Abstract / PDF [1.0M]

  • Row Buffer Locality-Aware Data Placement in Hybrid Memories. HanBin Yoon, Justin Meza, Rachata Ausavarungnirun, Rachael Harding, Onur Mutlu. SAFARI Technical Report, TR-SAFARI-2011-005, Carnegie Mellon University, September 2011.
    Abstract / PDF [272K]

  • Memory Power Management via Dynamic Voltage/Frequency Scaling. Howard David, Chris Fallin, Eugene Gorbatov, Ulf R. Hanebutte, Onur Mutlu. Proceedings of the 8th International Conference on Autonomic Computing (ICAC), Karlsruhe, Germany, June 2011.
    Abstract / PDF [463K]

  • Phase Change Memory Architecture and the Quest for Scalability. Benjamin C. Lee, Engin Ipek, Onur Mutlu, Doug Burger. Communications of the ACM (CACM), Research Highlight, Vol. 53, No. 7, pages 99-106, July 2010.
    Abstract / PDF [1.34M]

  • Phase Change Technology and the Future of Main Memory. Benjamin C. Lee, Ping Zhou, Jun Yang, Youtao Zhang, Bo Zhao, Engin Ipek, Onur Mutlu, Doug Burger. IEEE Micro, Special Issue: Micro's Top Picks from 2009 Computer Architecture Conferences (MICRO TOP PICKS), Vol. 30, No. 1, pages 60-70, January/February 2010.
    Abstract / PDF [600K]

  • Architecting Phase Change Memory as a Scalable DRAM Alternative. Benjamin C. Lee, Engin Ipek, Onur Mutlu, Doug Burger. Proceedings of the 36th International Symposium on Computer Architecture (ISCA), pages 2-13, Austin, TX, June 2009.
    Abstract / PDF [2.6M]


Acknowledgements

We thank the members and companies of the PDL Consortium: Amazon, Google, Hitachi Ltd., Honda, Intel Corporation, IBM, Meta, Microsoft Research, Oracle Corporation, Pure Storage, Salesforce, Samsung Semiconductor Inc., Two Sigma, and Western Digital for their interest, insights, feedback, and support.